• Expert

Expert:版图编辑

Silvaco Expert™ 是一款层次式IC版图编辑器,具有高容量和使用灵活的特点,可以被应用于多种硅工艺下的模拟、混合信号、射频和数字电路的版图设计。作为Silvaco定制IC设计套件的关键部分,Expert与原理图设计工具Gateway和物理验证工具SmartDRC/LVS无缝集成。与Calibre RealTime的链接可按需求以交互方式提供DRC质量验证。Expert的界面直观,设置简单,具有交互式的规则检查,能够帮助设计师快速生成正确的版图,从而实现快速流片。

概述

如今,电路设计师面临的主要挑战是IC技术越来越复杂且快速变化,需要验证和纠正的设计规则越来越多,设计数据急剧增加而项目时间急迫。

Silvaco Expert旨在提供灵活、高效,高性能的定制IC工具来应对这些日益增长的挑战。它的可配置性和全角度特性使其广泛地适用于各种IC技术要求,包括CMOS、BiCMOS、GaAs和许多其他工艺,支持行业标准设计格式,并提供许多流程设计工具包(PDK),包括流行的IPDK(可互操作PDK)。它易于安装,可导入现有的Virtuoso信息(如果可用),方便地设置技术文件。

Expert的数据库体系结构为数百万以上规模晶体管设计提供了极快的加载、查看和编辑操作,普通的工作站即可使用。具有多窗口分层编辑功能,支持多用户使用,拥有强大的脚本和API,能够显著提高设计团队的工作效率。

Expert可与Silvaco或第三方工具集成,进行实时的交互式DRC、错误检查和更正版图,从而缩短设计时间。

功能特性

  • 可定制的GUI、脚本和多用户共享库环境
  • 在位编辑、分解视图和展开数据
  • DRC Assist帮助设计师在编辑操作时检查设计规则,可以显示错误并以交互方式更正
  • Expert可以通过高亮显示体现节点和版图的连接关系
  • 使用JavaScript或LISA脚本语言通过网表来产生版图
  • 精确测量的任何角度规则
  • 与Gateway原理图编辑器紧密集成,允许在逻辑设计和物理设计之间进行交叉验证
  • 广泛的自定义PDK集和对iPDK的支持
  • 制作版图与版图验证(LVL)
  • 结合Calibre Interactive和Calibre RVE进行物理验证
  • 网表驱动的版图(NDL)提高了版图设计的周转时间(TAT)
  • TFT和平板使用的等电阻布线
  • 加密功能,保护有价值的客户和第三方知识产权
  • 与Silvaco的验证套件SmartDRC/LVS(DRC/LVS/NET)和寄生提取工具Hipex集成
  • 与Silvaco基于场解算器的3D RC提取工具紧密集成

优势

  • 凭借直观而强大的编辑功能、交互式DRC和错误查看,提供高效的版图编辑环境
  • 快速加载、编辑和查看超大数据库的GDSII文件
  • 灵活性和可配置性,可用于多种类型的硅技术

应用

  • 模拟、数字、混合信号和射频

技术规格

  • 支持的输入/输出格式:GDSII、LEF/DEF、DXF、OASIS、CIF、Applicon、Open Access数据库、.eld和 .sld等
  • 支持OpenAccess iPDK
  • 脚本支持:JavaScript,Lisa

定制化/模拟电路设计相关资源

Analog Custom Design and Analysis

Gateway

Expert

Parasitic Extraction

Hipex

Model Generation

Utmost IV

Analog Simulation

SmartSpice