• Parasitic Extraction Examples

    Utmost IV Examples

11 : Using Built-in Reduction for Distributed Parasitic RC Networks

Minimum Required Versions: Expert 5.2204.3.R, Hipex 3.8.7.R

Generally, a parasitic RC netlist contains much more devices than the original netlist without the parasitic RCs elements. This leads to large netlist and longer simulation time of the post-layout simulation.

To reduce the number of parasitic devices and the processing time of the post- layout simulation, Hipex-RC has a built-in RC reduction tool. It has a couple of modes as follows:

- Scattering Parameter Macromodels

- Time Domain Reduction

The actual operation steps are as follows:

1) Start Expert, and load the project file hipex_ex_11.eld by using File->Open command. And then open the top cell "hipex_example_11" (see figure1 ). Select Verification->Extraction->Setup to open the "Layout Parameter Extraction Setup" dialog. Press Load button, and choose hipex_example_11.lpe file to load required extraction settings.

2) Select Verification->Extraction->Hipex-Net->Run , and then Verification->Extraction->Hipex-RC->Run to get the RC netlist. To show the generated netlist, select Verification->Extraction->Hipex-RC->View SPICE netlist.

3) Select Verification->Extraction->Setup to open the "Layout Parameter Extraction Setup" dialog (see figure2 ).

4) Turn on the "RC Reduction" checkbox, and make sure the radio button "Scattering Parameter Macromodels" is turned on.

5) Close the dialog, and run Hipex-RC again. The generated netlist contains less parasitic devices than the previous one.

6) Open the "Layout Parameter Extraction Setup" dialog again, and turn on another radio button "Time Domain Reduction". The option "Time threshould" will be set as 0.01.

7) Run Hipex-RC again. The option "Time threshold" affects the processing speed, accuracy of the reduction, and the number of resulted parasitic devices.

Input Files
Graphics
Copyright © 1984 - Silvaco, Inc. All Rights Reserved. | Privacy Policy