Process Simulation

The full text for most of these papers may be found at the IEEE website at www.ieee.org.

Guriqbal Singh Josan, Archana Devasia, Sean Rommel and Santosh K. Kurinec,
“Simulation and verification of void transfer patterning (VTP) technique for nm-scale features”
Microelectronic Engineering, Rochester Institute of Technology, Rochester, NY 14623, USA

Daniel Montolio-Rodriguez, Patrick Linke, David Linke, Mirko Z. Stijepovic,
“Optimal conceptual design of processes with heterogeneous catalytic reactors”,
Chemical Engineering Journal, Vol. 163, Issue 3, 1 October 2010, pp. 438-449.

Z. Zurita, M. M. Shukri, M.M. Rusop,
“Study the effect of polysilicon doping on the junction depth in 65nm structure”,
2010 Intl Conf on Electronic Devices, Systems and Applications (ICEDSA), 2010, pp. 418&422.

Z. Djuric, A. Hoessinger, A. Babayan, A. Nejim, Silvaco Data Systems Europe Ltd,
“3D Simulation of Ion Milling for Mass Storage Applications ”
Silvaco Technology Centre, Compass Point, St. Ives, Cambridgeshire PE27 5JL UK,
B. Lafferty & A. Moore, Seagate Technology (Ireland), 1 Disc Drive, Springtown Ind Estate,
Derry, N. Ireland BT48 0BF, UK
M.A. Seigler, Seagate Research, 1251 Waterfront Place, Pittsburgh, PA 15222, USA,

M. Narayanan, H. Al-Nashash,
“Introducing undergraduate students to simulation of semiconductor doping techniques”,
Computers & Electrical Engineering, Vol. 35, Issue 4, July 2009, pp. 567-577.

Ratul Kumar Baruah, Santanu Mahapatra,
“Justifying threshold voltage definition for undoped body transistors through “crossover point” concept”,
Physica B: Condensed Matter, Vol. 404, Issues 8-11, 1 May 2009, pp. 1029-1032.

B. Ayub, M. Rusop,
“Optimization of dry oxidation parameters for gate dielectric in PMOS transistor”,
AIP Conference Proceedings, Vol. 1136, 2009, pp. 565-569.

S. S. Mondal,
“Formation of the Si-Schottky junctions by simple electrochemical process and verification of their characteristics by TCAD”,
Recent Advances in Microwave Theory and Applications, 2008. MICROWAVE 2008. International Conference on 21-24 Nov. 2008 pp. 731&733.

K. Mochizuki, T. Someya, T Takahama, H. Onose, and N. Yokoyama,
“Detailed Analysis and Precise Modeling of Multiple-Energy Al Implantations Through SiO2 Layers into 4H-SiC”,
IEEE Trans. on Electron Devices, Vol. 55, Issue 8, Aug. 2008, pp. 1997-2003.

R. E. Pearson, K. D. Hirschman, R. Manley,
“Process Model Verification for Dopant Segregation and Oxidation Enhanced Diffusion”,
University/Government/Industry Micro/Nano Symposium, 2008. UGIM 2008. 17th Biennial 13-16 July 2008 pp. 148&152.

Haipeng Zhang, Mingyu Gao, Liyan Xu, Mi Lin, Xiaoyan Niu, Weifeng Lv,
“United Gauss—Pearson-IV distribution model of ions implanted into silicon”,
Solid State Ionics, Vol. 179, Issues 21-26, 15 September 2008, pp. 832-836.

R. Kinder, F. Schwierz, P. Beňo and J. Geíner,
“Simulation of boron diffusion in Si and strained SiGe layers”,
Microelectronics Journal, Vol. 38, Issues 4-5, April-May 2007, pp. 576-582.

VASILY SUVOROV, ANDREAS HOSSINGER, ZORAN DJURIC and NEBOYSHA LJEPOJEVIC,
“A Novel Approach to Three-Dimensional Semiconductor Process Simulation: Application to Thermal Oxidation”,
Silvaco Technology Center, Compass Point, St Ives, Cambridgeshire, PE27 5JL, UK.

Alexei Svizhenko, Paul W. Leu, and Kyeongjae Cho,
“Effect of growth orientation and surface roughness on electron transport in silicon nanowires”,
Physical Review B&Condensed Matter and Materials Physics, Vol. 75, Issue 12, 14 March 2007.

D. Kimpton, M. Baida, V. Zhuk, M. Temkin, I. Chakarov,
“Multiple Type Grid Approach for 3D Process Simulation”,
2006 International Conference on Simulation of Semiconductor Processes and Devices, Sept. 2006 pp. 369&372.

I. Karmakov, A. Konova, I. Chakarov,
“Spectroscopic Ellipsometry as a Tool for Damage Profiling in Very Shallow Implanted Silicon”,
Plasma Processes and Polymers, Vol. 3, No. 2, pp. 214-218. 17 Feb. 2006

I. Chakarov and M. Temkin,
“Modeling of Ion Implanatation in SiC Crystals”,
Nucllear Intstruments Methods Physics Research B, Beam Interactactions Materials Atoms, Vol. 242, Issue 1-2, Jan. 2006, pp. 690-692.

Vasily Suvorov, Andreas Hossinger, Zoran Djuric, Neboysha Ljepojevic,
“A novel approach to three-dimensional semiconductor process simulation: Application to thermal oxidation”,
Journal of Computational Electronics, Vol. 5, No. 4, December, 2006, Proceedings of the International Workshop on Computational Electronics (IWCE-11) Part I, pp. 291-295.

Sheehan, D. P.,
“The Second Law of Thermodynamics: Foundations and Status”,
Special Issue of Foundations of Physics, Vol. 37, Issue 12, pp. 1653-1658.
Proceedings of AAAS Symposium, June 19-22, 2006.

Sheehan, D.P., J.H. Wright, A.R. Putnam, and E.K. Perttu,
“Intrinsically-biased resonant NEMS-MEMS oscillator and the second law of thermodynamics”,
Physica E, 2005, Vol. 29, Issue 1-2, pp. 87-99.

F. Boucard, F. Roger, I. Chakarov, V. Zhuk, M. Temkin, X. Montagner, E. Guichard, D. Mathiot,
“A comprehensive solution for simulating ultra-shallow junctions: From high dose/low energy implant to diffusion annealing”,
Materials Science and Engineering B: Solid-State Materials for Advanced Technology, Vol. 124-125, 5 December 2005, pp. 409-414.

S. Daliento, L. Mele, P. Spirito, B. N. Limata,
“All electrical resistivity profiling technique for ion implanted semiconductor materials”,
Materials Science and Engineering B: Solid-State Materials for Advanced Technology, Vol. 124-125, 5 December 2005, pp. 310-313.

S. Karmalkar, P. V. Mohan, B. P. Kumar,
“A unified compact model of electrical and thermal 3-D spreading resistance between eccentric rectangular and circular contacts”,
IEEE Electron Device Letters, Vol. 26, Issue 12, December 2005, pp. 909-912.

S. Ruffell, P. J. Simpson, I. V. Mitchell,
“Electrical characterization of 5 keV phosphorous implants in silicon”,
Journal of Applied Physics, Vol. 98, Issue 1, 1 July 2005, pp. 1-5.

M. S. A. Karunaratne, A. F. W. Willoughby, J. M. Bonar, J. Zhang, P. Ashburn,
“Effect of point defect injection on diffusion of boron in silicon and silicon-germanium in the presence of carbon”,
Journal of Applied Physics, Vol. 97, Issue 11, 2005, pp. 1-7.

S. Daliento, L. Mele, P. Spirito, L. Gialanella, M. Romano, B. N. Limata, R. Carta, L. Bellemo,
“An experimental analysis of localized lifetime and resistivity control by Helium”,
Proceedings of the International Symposium on Power Semiconductor Devices and Ics, 2005, pp. 259-262.

R. J. Pieper, M. Sherif,
“Comprehensive analytical approach to predicting freeze-out and exhaustion for uniform single-impurity semiconductors in equilibrium”,
IEEE Transactions on Education, Vol. 48, Issue 3, August 2005, pp. 413-421.

S. Musumeci, R. Pagano, A. Raciti, F. Frisina, M. Melito, M. Saggio,
“Modeling and characterization of a merged PiN-schottky diode with doping compensation of the drift region”,
Conference Record&IAS Annual Meeting (IEEE Industry Applications Society), Vol. 2, 2004.

C. Kim, M. Li, M. Rodesch, A. Lowe, K. Richmond, F. Cerrina,
“Biological lithography: Improvements in DMA synthesis methods”,
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, Vol. 22, Issue 6, 2004, pp. 3163-3167.

S. Uppal, J. M. Bonar, J. Zhang, A. F. W. Willoughby,
“Arsenic diffusion in Si and Si0.9Ge0.1 alloys: Effect of defect injection”
Materials Research Society Symposium Proceedings, Vol. 809, 2004, pp. 261-266.

Z. Djuric,
“Three-dimensional simulation of liquid metal spray deposition onto arbitrary surfaces”,
Modelling and Simulation in Materials Science and Engineering, Vol. 12, Issue 3, May, 2004, pp. 529-544.

V. G. Suvorov,
“Numerical analysis of liquid metal flow in the presence of an electric field: application to liquid metal ion source”,
Surface and Interface Analysis, Vol. 36, Issue 5-6, May-June 2004, pp. 421-425.

S. Uppal, A. F. W. Willoughby, J. M. Bonar, J. Zhang,
“Evidence for a vacancy and interstitial mediated diffusion of As in Si and Si0.9Ge0.1“,
Applied Physics Letters, Vol. 85, Issue 4, 26 July 2004, pp. 552-554.

I. Karmakov, I. Chakarov, A. Konova,
“Depth profile characterization of low-energy B+ and Ge+-ion-implanted Si”,
Applied Surface Science, Vol. 211, April 2003, pp. 270-279.

V.A. Ignatova, I. R. Chakarov, I. V. Katardjiev,
“Non-thermodynamic approach to including bombardment-induced post-cascade redistribution of point defects in dynamic Monte Carlo code”,
Nuclear Instruments and Methods in Physics Research B, Vol. 202, April 2003, pp. 24-30.

B. Jaroszewicz, T. Budzynski, A. Panas, A. Kociubinski, W. Sysz, W. Jung, R. Jakiela, A. Barcz, J. Marczewski, P. Grabiec,
“High-quality p-n junction fabrication by ion implantation using the LPCVD amorphous silicon films”,
Vacuum, Vol. 70, March 2003, pp. 81-85.

M. M. Gongora-Nieto, P. D. Pedrow , B. G. Swanson, G. V. Barbosa-Canovas,
“Impact of air bubbles in a dielectric liquid when subjected to high field strengths”,
Innovative Food Science and Emerging Technologies, Vol. 4, March 2003, pp. 57-67.

K. D. Hirschman, J. Hebding, R. Saxer and K. Tabakman,
“Semiconductor Process and Device Modeling: a graduate course/undergraduate elective in microelectronic engineering at RIT”,
Proceedings of the 15th Biennial University/Government/Industry Microelectronics Symposium 2003, 30 Jun.&2 Jul. 2003, pp. 138-14

Kei Hanai and Yoshinori Matsumoto,
“A Study of Gray Scale Lithography with Micro Chrome Pattern”,
IEEJ Transactins on Sensors and Micromachines, Vol. 123, pp. 410-415, October, 2003 [in Japanese]

Wang Jimin, Li Yu, Li Ruiwei,
“An improved silicon-oxidation-kinetics and accurate analytic model of oxidation”,
Solid-State Electronics, Vol. 47, October 2003, pp. 1699-1705.

S. -W. Kwak, K. H. Kim, I. Kim, G. Cho,
“Development of X-Ray Scanner Using 450-kVp X-Ray”,
IEEE Transactions on Nuclear Science, Vol. 50, Issue 6 II, December 2003, pp. 2414-2419.

R. B. Beck,
“Formation of ultrathin silicon oxides — Modeling and technological constraints”,
Materials Science in Semiconductor Processing, Vol. 6, Issue 1-3, February 2003, pp. 49-57.

V. Manuylov,
“Monte Carlo simulation of SEM for target with complex geometry”,
Proceedings of SPIE, Vol. 4689 II, 2002, pp. 826-832.

S. Takagi, K. Iyanagi, S. Onoue, T. Shinmura, M. Fujino,
“Topography simulation of reactive ion etching combined with plasma simulation, sheath model, and surface reaction model”,
Jpn. J. App. Phys., Part 1: Regular Papers and Short Notes and Review Papers, 2002, Vol. 41, pp 3947-3954.

F. Boucard1,2,3, D. Mathiot1, E. Guichard2, and P. Rivallin3
“A Model for Boron T.E.D. in Silicon: Full Couplings of Dopant with Free and Clustered Interstitials”

  1. Laboratoire PHASE-CNRS, 23 rue du Loess, F-67037 Strasbourg Cedex 2, France
  2. SILVACO DATA SYSTEMS, 55, rue Blaise Pascal, F-38330 Montbonnot, France
  3. LETI-CEA, 17 Av. des Martyrs F-38054 Grenoble cedex 9, France

V. Ignatova, I. Chakarov, A. Torrisi and A. Licciardello,
“Segregation of gallium at SiO2/Si interfaces during sputtering with Ga+ ions: experimental and computer simulation study”,
Applied Surface Science, Vol. 187, Issues 1-2, 14 Feb. 2002, pp. 145-153.

N. Peng, C. Jeynes, R. Webb, I. Chakarov, D. J. Kang, D. Moore and M. Blamire,
“Monte Carlo simulations of energetic proton beam irradiation damage defect productions in YBCO thin films with Au masks”,
Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Volume 188, Issues 1-4, April 2002, pp. 189-195.

O. I. Velichko, V. A. Dobrushkin, A. N. Muchynski, V. A. Tsurko and V. A. Zhuk,
“Simulation of Coupled Diffusion of Impurity Atoms and Point Defects under Nonequilibrium Conditions in Local Domain”,
Journal of Computational Physics Vol. 178, Issue 1, 1 May 2002, pp. 196-209.

N. Takaura, R. Nagai, H. Asakura and et al.,
“Analysis of boron penetration and gate depletion using dual-gate PMOSFETs for high performance G-Bit DRAM design”,
IEICE Trans. Electronics, Vol. E85C, May 2002, pp. 1138&1145.

Reeves, D. E.,
“Comparison of Analytic and Numerical Models With Commercially Available Simulation Tools for the Prediction of Semiconductor Freeze-Out and Exhaustion”,
Naval Postgraduate School, Monterey, CA. Sep 2002. 84p, NTIS ADA407191

V. Ignatova and I. Chakarov,
“Modeling of bombardment-induced diffusion and segregation during the self-sputtering of Ga+ ions at SiO2/Si interfaces”,
Surface and Coatings Technology, Vol. 158-159, Sep. 2002, pp. 281-287.

N. Peng, C. Jeynes, R. Webb, I. Chakarov and M. Blamire,
“Optimisation of masked ion irradiation damage profiles in YBCO thin films by Monte Carlo simulation”,
Physica C: Superconductivity, Vol. 372-376, Part 1, Aug. 2002, pp. 55-58.

F. Boucard, M. Schott, D. Mathiot, P. Rivallin, P. Holliger and E. Guichard,
“Influence of low thermal budget pre-anneals on the high temperature redistribution of low energy boron implants in silicon”,
Materials Research Society Symposium&Proceedings, Vol. 669, 2001, pp. J831-J836, Si Front-end Pr

V. Manuylov and M. Temkin,
“Simulation of exposure process in complex non planar 2D/3D resist-substrate structures”,
Proceedings of SPIE, Vol. 4346, No. 2, 2001, pp. 1507-1513.

M. Rydberg and U. Smith,
“Temperature Coefficient of Resistivity in Heavily Doped Oxygen-Rich Polysilicon”,
Journal of the Electrochemical Society, Vol. 148, Issue 12, 2001, pp. G725-G723.

R. D. Forrest, G. Y. Chen and S. R. P. Silva,
“Electron field emission from amorphous carbon films as a function of deposition self bias, nitrogen content and substrate resistivity — experiment and simulation”,
Materials Chemistry and Physics, Vol. 72, November 2001, pp. 204-209.

P. Scheiblin,
“Calibrated and Predictive Simulation of Doping Profiles: Low Energy As, B and BF2 Ion Implantation”
LETI (CEA-Grenoble)&17, rue des Martyrs&38054 Grenoble Cedex 09 – FRANCE

Misha Temkin, Ivan Chakarov and Roger Webb,
“Trajectory separation of channeled ions in crystalline materials”,
Nuclear Instruments and Methods in Physics Research Section B. Vol. 164, (2000) pp. 74&78.

B. A. Biegel,
“Accuracy counts in modeling TCAD’s future: Device and process simulation find intelligence on the World Wide Web”,
IEEE Potentials, Vol. 19, Issue 3, August 2000, pp. 19-22.

O. Buiu, S. Taylor, L. Culiuc, M. Gartner and I. Cernica,
“Optical characterization of ion implantation in Si and Si/SiO2 structures: spectroellipsometric (SE) and second harmonic generation (SHG) results”,
Microelectronics and Reliability, Vol. 39, Issue 2, February 1999, pp. 291-295.

J. Hofmeister, H. G. Parks, B. Vermeire, Z. Murshalin, R. Graves, R. D. Schrimpf and K. F. Galloway,
“Concept and initial feasibility of contamination TCAD by integration with commercial software”,
IEEE Advanced Semiconductor Manufacturing Conference and Workshop, 1999, pp. 426-429.

W. Lerch, M. Gl,
“Boron ultrashallow junction formation in silicon by low-energy implantation and rapid thermal annealing in inert and oxidizing ambient”,
Journal of the Electrochemical Society, Vol. 146, Issue 7, July 1999, pp. 2670-2678.

S. Godey, E. Ntsoenzok, D. C. Schmidt and J. F. Barbot,
“Effect of shallow donors induced by hydrogen on P+N junctions”,
Materials Science and Engineering B, Vol. 58, Issues 1-2, 12 February 1999, pp. 108-112.

R. B. Webb, et. al.,
“Anomalous Diffusion of Ultra Low Energy Boron Implants in Silicon”,
ibid. p.59.

G. Xiao, J. Lee, J. J. Liou and A. Ortiz-Conde,
“Incomplete ionization in a semiconductor and its implications to device modeling”,
Microelectronics and Reliability, Vol. 39, Issue 8, August 1999, pp. 1299-1303.

M. L. Polignano, C. Bresolin, G. Pavia, V. Soncini, F. Zanderigo, G. Queirolo and M. Di Dio,
“Molibdenum contamination in silicon 1. Molibdenum detection by lifetime techniques”,
Materials Science and Engineering B, Vol. 53, May 1998, pp. 300-309.

M. Temkin and I. Chakarov,
“Computationally efficient model for 2D ion implantation simulation”,
Semiconductor Process and Device Performance Modeling (MRS Symposium Proceedings) Vol. 490, 1998.

H. M. Mao and D. H. Zhang,
“Simulation of high concentration phosphorus diffusion”, (in Chinese)
Research & Progress of Solid State Electronics, Vol. 18, No. 3, 1998, pp. 334-339.

H. W. Chiou and L. J. Chen,
“One step effective planarization of shallow trench isolation”,
IEEE Interconnect Technology Conference, 1998, pp. 199 -201.

D. Alquier, et.al.,
“On the assymetrical behavior of transient enhanced diffusion in pre-amorphised Si wafers., in Silican Front-End Technology”,
Materials Processing and Modelling, MRS Proceedings, Vol. 532, 1998, p.67.

M. L. Polignano, E. Bellandi, D. Lodi, F. Pipia, A. Sabbadini, F. Zanderigo, G. Queirolo and F. Priolo,
“Quantitative evaluation of bulk-diffused metal contamination by lifetime techniques”,
Materials Science and Engineering B, Vol. 55, Issues 1-2, 14 August 1998, pp. 21-33.

D. Mathiot, A. Lachiq, A. Slaoui, S. Noël, J. C. Muller and C. Dubois,
“Phosphorus diffusion from a spin-on doped glass (SOD) source during rapid thermal annealing”,
Materials Science in Semiconductor Processing, Vol. 1, Issues 3-4, December 1998, pp. 231-236.

J.D. Plummer,
“Defects and Diffusion Issues for the Manufacturing of Semiconductors in the 21st Century, Defects an d Diffusion in Silicon Processing”,
MRS Proceedings, Vol. 469, 1997, p. 3.

G. Le Carvalle, et.al.,
“Methodology for Predictive Calibration of TCAD Simulators,”
SYSPAD, 1997, pp.177-180.

Fair R. B., Tsai J. C. C.,
“A Quantitative Model for the Diffusion of Phosphorus in Silicon & the Emitter Dip Effect”,
J. Electrochem Soc, Vol. 124, Issue 7, Solid-State Science & Tech July, 1997, pp. 1107-1118.

Walk, H. and Schäfer, M.,
“New approaches to simulation-assisted design and process development”,
Solid State Technology, Mar97, Vol. 40, Issue 3, pS16.

Daniel Mathiot, France Telecom, CNET Grenoble,
“CNET Physical Diffusion Model Included in ATHENA”

C. C. Lin, M. E. Law,
“Mesh Adaption & Flux Discretization for Dopant Diffusion Modeling”,
NUPAD V 1994.

Sandrine André, André Weill,
“Variable Numerical Aperture and Partial Coherence studies: Process Window and Proximity Effects”,
Microelectronic Engineering, Vol. 30, Issues 1-4, January 1996, pp. 99-102.

T. L. Crandle and S. C. Leon,
“Solving optical lithography problems by using simulation”,
Solid State Technology, Vol. 37, Issue 8, pp. 69&72, August 1994.

C. M. Li, T Crandle, M Temkin, P Hopper,
“A Two-dimensional Process Model For Silicide Growth”,
VPAD May 1993, pp. 68-69.

Leon, Crandle,
“Simulating Lithography and Topography Processes Using ATHENA”,
SEMICON Korea, 1993.

Richard Fair, MCNC,
“Rapid Thermal Processing: Science and Technology”,
Seminar, Korea, 1992.

Mathiot Martin,
“Modeling of Dopant Diffusion in Silicon: An Effective Diffusivity Approach Including Point-Defect Couplings”
J. Appl. Phys. Vol. 70, Issue 6, September 1991, pp. 3071-3080.

J. Warnock,
“A Two-Dimensional Process Model for Chemimechanical Polish Planarization”,
J. Electrochem. Soc. Vol. 138, Issue 8, Aug 1991, pp. 2398-2402.

Fair R. B., Gardner C. L., Johnson M. J., Kenkel S. W., Rose D. J., Subrahmanyan,
“Two Dimensional Process Simulation Using Verified Phenomenological Models”,
IEEE Trans. CAD, Vol 10, Issue 5, May 1991, pp. 643-651.

M. E. Law, Park, Novell,
“Theory of Dopant Diffusion Assuming Nondilute Concentrations of Dopant-Defect Pairs”,
Appl. Phys. Lett, Vol. 59, Issue 26, 1991.

M. E. Law,
“Point Defect Based Modeling of Dopant Diffusion in silicon”,
Proc. of VLSI Sci. & Tech Symp of th ECS, 1991.

Mulvaney, Richardson,
“Physical Models for Impurity Diffusion in Silicon”
NASECODE VII, 1991.

P. Burke,
“Semi-Empirical Modeling of Si02 Chemical-Mechanical Polishing Planarization”,
VMIC Conference, June 1991, pp. 379-384.

Talt, Smy, and Brett,
“A Ballistic Deposition Model for Films Evaporated Over Topography”,
Thin Solid Films, 1990, Vol. 187, Issue 2, pp. 375-384.

Talt, Dew, Smy, Brett,
“Ballistic Simulation of Optical Coatings Deposited Over Topography”,
SPIE, Vol. 1324 Modeling of Optical Thin Films II, 1990.

Talt, Smy, Brett,
“Simulated and Measurement of Density Variation in Mo Films Sputter Deposited Over Oxide Steps”,
J. Vac. Sci. Technol., A: Vol. 8, Issue 3, May/June 1990, pp. 1593-1596.

C. Hill, S.K. Jones,
“Modeling Diffusion in and from Polysilicon Layers”,
Materials Research Soc. Symp. Proc., 1990.

Mulvaney, Richardson, Crandle,
“PEPPER&A Process Simulator for VLSI”,
IEEE Trans on CAD, Vol. 8, Issue 4, April 1989, pp. 336-349.

R. E. Lowther,
“A Discretization Scheme that Allows Coarse Grid-Spacing in Finite-Difference Process Simulation”,
IEEE Trans. on CAD, Vol. 8, Issue 8, August 1989, pp. 837-841.

Peika, Welss, Hoppe, Mewes,
“The Influence of Ion Scattering on Dry Etch Profiles”,
Jour. Vac. Scl Technology, Vol. 7, Issue 6, Nov/Dec 1989, pp. 1483-1487.

Fair R. B., Rose D. J.,
“Process Simulation of Submicron Technologies”,
Semiconductor Intl, Dec 1987.Blakey, Hopper The MASTER Framework

Fair R. B.,
“Process Models for Ultra-Shallow Junction Technologies”,
IEDM, 1987 pp. 260-263.

Fair R. B., Rose
“A Deep Decision Tree Approach to Modeling Submicron Silicon Technologies”,
IEEE 1987.

Mathiot, Pfister,
“Dopant Diffusion in Silicon: A Constant View Involving Nonequilibrium Defects”,
J. Appl. Phys., Vol. 55, Issue 10, May, 1984, pp. 3518-3530.

Li, Temkin, Crandle,
“A 2D Model for Silicide Growth”,
Silvaco presentation.